中国电子技术网

设为首页 网站地图 加入收藏

 
 

Cadence与Mentor推出开放式验证方法学技术

关键词:开放式验证方法学技术 SystemVerilog方法学

时间:2008-01-15 11:43:00      来源:中电网

该OVM基于 IEEE Std. 1800-2005 SystemVerilog标准,是首套开放的、语言可互用的SystemVerilog验证方法学。

Cadence设计系统公司与Mentor Graphics公司宣布"开放式验证方法学(Open Verification Methodology ,OVM)即日上市,该技术最近刚刚被《电子设计》杂志评为2007年最佳EDA技术大奖。该技术根据标准的开放源代码Apache 2.0 授权协议发布,其OVM源代码、文件和使用用例都可以在http://www.ovmworld.org免费下载。该OVM网站是获取OVM源代码的主要来源,提供了有关合作伙伴、展会、研讨会、培训、使用说明和未来计划等相关信息。

该OVM基于 IEEE Std. 1800-2005 SystemVerilog标准,是业界第一套开放的、语言可互用的SystemVerilog验证方法学。该OVM提供了一套方法学和相应的库,让用户可以建立模块式、可复用的验证环境,其中的各个组件通过标准的事务处理级建模界面彼此交流.它还可以通过一种通用的方法学和虚拟序列的分类和块至系统的复用实现公司内部和公司之间的重用,并且全面集成到其他通常使用于生产流程的语言中。作为Mentor Graphics 和Cadence Design Systems,之间的一次共同努力,该OVM支持多种验证平台,可以完美适应新手和专家级验证工程师的需要。

该OVM包含了在SystemVerilog中建造高级目标导向型、覆盖驱动型验证环境和可复用验证IP(VIP)所必需的基础功能。该OVM降低了应用SystemVerilog的复杂性,将验证实践植入到它的方法学和库中,并大大缩短建造验证环境所需的时间。它轻松集成了即插即用型VIP,并确保代码的轻便性和可复用。

供应情况

产品版OVM即日上市,计划于2008年内增加新的功能。Cadence与Mentor已经合作保证OVM能够在他们的仿真器中使用,并确保向下兼容他们现有的环境,包括Mentor图形公司的"高级验证方法学"和Cadence公司的Incisive Plan-to-Closure Methodology(通用复用方法学模块)。

详情请访问:www.cadence.comhttp://www.mentor.com/.



 
  • 分享到:

 

猜你喜欢

  • 新品
  • 新闻
  • 方案

  • 主 题:IO-Link 技术介绍及相关设计解决方案
  • 时 间:2024.05.22
  • 公 司:ADI & Arrow